首页 > 面经系列:2020年AMD数字IC岗
头像
数字IC剑指offer
编辑于 2021-01-10 21:48
+ 关注

面经系列:2020年AMD数字IC岗

2020年秋招中,笔者参加了许多公司的数字IC类岗位招聘,积累了丰富的笔面试经验,将为大家带来最全面的数字IC求职前、后端知识分享。在对面试过程进行详细复盘后,我们分别整理了各个公司的面经供牛客网的同学们参考,也欢迎大家在评论区交流讨论!

AMD数字IC岗投递了三个职位,均参加了面试,面试官来自不同部门,半天全部面完。

岗位1:Front-End Design Engineer,约35分钟

1、自我介绍。

2、在校项目分工情况。

3、对大规模数字集成电路设计的了解,所学课程情况。

4、CMOS器件的掌握情况。

5、介绍数字IC设计的基本流程及其所用EDA工具。

6、setup违例和hold违例哪个好修?

7、你对DFT的了解。MBIST insertion和Scan chain insertion在哪个阶段完成?

8、脚本语言perl、tcl、python有没有一些了解?

9、提问环节。

岗位2:ASIC Design Engineer,约38分钟

1、自我介绍。

2、简单介绍在校项目。

3、出于什么考虑将PD作为第一志愿?

4、ASIC design、Front-end Design、PD三个职位你是怎么理解的。

5、简单介绍下后端P&R的基本流程及其主要内容。

6、setup和hold的概念。为什么会存在setup time?为什么一定要进行setup check?为什么会有hold要求?(可参阅往期推送:为什么会有建立时间和保持时间要求?)

7、会不会在DC阶段修hold?placement阶段会去修hold吗?(不会)

8、Routing阶段先布clock还是先布signal?(先布clock net)

9、你了解的低功耗技术有哪些?

10、降低静态/动态功耗的措施。

11、面试官介绍了自己的低功耗部门。

12、提问环节。

岗位3:Physical Design Engineer,约37分钟

1、英文自我介绍。

2、研究生项目情况。

3、你对Physical Design的了解。

4、对脚本语言的了解。文本关键字匹配并打印。

5、一个timing report中大概有哪些内容?

6、setup和hold哪个和频率关系更大一些?placement阶段使用setup corner还是hold corner?

7、PV主要做什么工作?

8、对芯片制造流程、CMOS工艺的了解。

9、提问环节。

更多模拟面试

全部评论

(1) 回帖
加载中...
话题 回帖

相关热帖

历年真题 真题热练榜 24小时
技术(软件)/信息技术类
查看全部

热门推荐