首页 > 面经系列:2020年oppo数字IC后端岗
头像
数字IC剑指offer
编辑于 2021-01-10 21:03
+ 关注

面经系列:2020年oppo数字IC后端岗

2020年秋招中,笔者参加了许多公司的数字IC类岗位招聘,积累了丰富的笔面试经验,将为大家带来最全面的数字IC求职前、后端知识分享。在对面试过程进行详细复盘后,我们分别整理了各个公司的面经供牛客网的同学们参考,也欢迎大家在评论区交流讨论!
同学A

一面

  1. 自我介绍

  2. 想做什么方向?

  3. 没有做过中后端?

  4. 后端的基本流程是?

  5. FloorPlan主要做哪些事情?

  6. 摆macro要注意什么?

  7. 有没有用过blcokage?有哪些类型?有什么区别?

  8. Placement主要做什么?怎么去看place好不好,进入下一步?

  9. place阶段怎么修setup?

  10. CTS主要做什么?

  11. CTSbuffer还是反相器?与普通的相比有什么区别?

  12. Hold为什么要在CTS后才分析?

  13. CTS为什么要减小latency

  14. clock transition要不要考虑呢?为什么?

  15. 假如做8层金属的后端,时钟线用哪些金属层?为什么?

  16. 你们在哪些corner下signoff?

  17. 温度反转是指?

  18. 有没有了解过EM原因是什么?如何缓解?

  19. 功耗类型有哪些?怎么降Internal power和switching power?

  20. 降低功耗的手段有哪些?

  21. 在Power switch设计中,iso cell的作用是什么?

  22. 写过SDC么?里面有什么内容

  23. 为什么要设置input_delay和output_delay?怎么设置?假如现在set_output_delay -min 0 set_output_delay -min -1,哪个更紧?为什么?

  24. 接触过16nm以下工艺么?FinFET相比于平面FET的优点是?缺点呢?16nm下的double pattern了解么?

  25. 手上有offer了么?选择公司看重什么?

  26. 你有什么想问的?结果会很快反馈

  27. OPPO在大量招人,做芯片是要提高研发能力


二面

  1. 自我介绍

  2. 实习的主要工作?仿真工具用什么?怎么仿功耗的?

  3. 多电压域设计怎么做的?各工作在什么电压?外包的话是从哪步开始?他们做完后端你们有验证么?

  4. 你的设计有几个时钟?两个时钟怎么做交互?异步FIFO有没有设置什么特殊的约束?

  5. 你会跑几个阶段的PTPX?不带SDF和带SDF的功耗会差多少?

  6. 简历上提到的clock gating怎么做的?

  7. 你们这边的2个时钟都是外围输入的么?

  8. 有没有遇到过综合后功耗比预想中大很多的情况?

  9. 哪些工具使用得比较多?

  10. PTPX会报哪些功耗出来?

  11. 怎么理解internal power?

  12. 使用过哪些库?HVT、SVT、LVT哪个的internal power会比较大?

  13. 你做过混仿?怎么做的?

  14. 你是在什么条件下报power的?

  15. 你是哪里人?

  16. 你有在RTL阶段跑过功耗分析么?

  17. 你比较偏向做哪方面?

  18. 你有什么问题想问的?


三面(HR面)

  1. 自我介绍

  2. 用三个词语介绍自己,解释一下为什么用这三个词

  3. 印象最深的奖励或者比赛,如何分工,出现意见分歧怎么办

  4. 家庭情况,哪里人,父母职业

  5. 意向城市,为什么想去上海?

  6. 找工作看重哪些因素?

  7. 有面哪些企业?

  8. 想做哪方面?中后端哪个?

  9. 说说最艰难的一段简历,收获有哪些?

  10. 最成功最开心的经历?

  11. 你了解OPPO芯片相关的么?


同学B

一面

  1. 你想做什么方向?(中后端)那我就问中后端的内容?

  2. 时序分析的时候延时信息来源于什么?

  3. Liberty中除了时序信息还有什么信息?

  4. 怎么减少crosstalk?

  5. 你有了解16nm以下的工艺吗?FinFET跟平面FET有啥区别?为什么FinFET的漏电更低?16nm以下还有double pattern,了解吗?

  6. 你了解哪些low power方法?

  7. 假设设计中top电压域0.8V,还有一个可以关断的电压域0.7V,在布局布线的时候有什么要注意的?

  8. Isolation cell应该摆在哪个电压域?如果放在关断的电压域,那电压关断之后使能信号怎么办?

  9. Low power设计会用到UPF,假如一个cell既有lvl,又有iso功能,那我们写UPF需要指定两次吗?(我回答有enable lvl),面试官继续问那需要指定两次吗?

  10. 碰到过congestion的问题吗?(我说没遇到,但说了下congestion的成因和解决方法)

  11. 写过SDC吗?什么时候要设置多周期路径?设计上要做什么特殊处理?hold怎么设置?

  12. 项目中遇到过比较难的问题吗?

  13. 你有什么问题想了解的吗?

  14. 选择公司是有什么考虑的?


二面

  1. 自我介绍

  2. 说下具体做了什么样的低功耗设计?

  3. 项目相关问题

  4. 项目是流片了吗?是学校自己立项的项目吗?台积电28工艺?

  5. 做电压域设计是怎么做的?UPF是自己写的吗?UPF具体内容?UPF带analogy信息吗?

  6. 用什么仿真的?HSIM是怎么仿真的?仿真的时候是将数字部分转成spice网表再加模拟部分吗?spice网表反标RC信息了吗?

  7. 对数字IC流程做过哪些阶段?用的什么工具做的PR?

  8. ICC中做了PR后timing是怎么修的?PT熟悉吗?

  9. Signoff的时候有几个电压?高电压域PLL的输出到低电压域怎么处理的?signoff遇到什么问题?signoff最低多少电压?T28有0.55V的库吗?k库怎么k的?抽的库有验证过库的准确性吗?你们觉得多大误差可以接受?

  10. Signoff的时候pba的mode选择的模式?这三种模式有啥区别?

  11. 有做仿真吗?用exhaustive写的sdf做后仿有什么问题吗?除了VCS还用过什么仿真工具?

  12. 一直在做低功耗吗?自己做的PR是哪部分?除了低功耗,还做别的东西吗?

  13. 自己以后想做什么方向?中后端哪块更感兴趣?

  14. 你有什么问我的?


HR面

  1. 自我介绍

  2. 三个词语描述自己,解释一下为什么用这三个词语?

  3. 你说了时间观念和团队责任感,举个例子,怎么体现的?

  4. 你现在成绩排名?

  5. 有没有获得国际或国家的奖项?几个人完成的?

  6. 家庭情况?

  7. 工作地点在上海,地点可以接受嘛?为什么想来上海?

  8. 职业选择是什么因素会影响你的选择?

  9. 应聘过几家公司了?拿到offer了吗?薪资满意吗?期望薪资?

  10. 我了解到今年好多人没没有去华为的想法,你们是怎么想的今年?

  11. 分享一个你与他人合作完成的项目?怎么分工的?你的角色和主要的贡献?

  12. 有没有参加过什么活动?社团给大家提供什么样的活动?社团多少人?这么多人干什么活?

  13. 最自豪的事情?

  14. 你想做什么方向?为什么?

  15. 有想做模拟的吗?

  16. 有什么想问我的吗?


同学C

一面

  1. 自我介绍

  2. 介绍在校项目,项目分工情况,应用场景;

  3. 集成电路设计范围其实是很宽泛的,你的意向?

  4. 你对中后端工作有什么理解?简单介绍下中后端设计流程。

  5. 简单介绍下你参加了哪些竞赛?获奖情况如何

  6. 你怎么评价自己,优势与不足。

  7. 提问环节。问了工作职责情况和新员工培训体系。


二面

  1. 自我介绍。

  2. 详细介绍研究生期间的项目,问了大约20分钟。

  3. 数字芯片设计的流程以及你的理解。

  4. 你为什么选择数字后端作为求职方向?

  5. 你在项目中和其他人的合作情况如何?

  6. 如果同事交付给你的工作的完成质量很差,影响到了你的下一步工作,你会怎么办?

  7. 你为什么会选择OPPO?意向工作地?

  8. 提问环节?问了后端的工作职责:从综合开始,按照模块一直做到tape-out;DFT是单独的部门,涉及一些方法学


三面

同学C比较懒,HR面没记录了

更多模拟面试

全部评论

(0) 回帖
加载中...
话题 回帖

推荐话题

相关热帖

近期热帖

历年真题 真题热练榜 24小时
技术(软件)/信息技术类
查看全部

热门推荐